Variables in VHDL conversion

I think it works like this:

temp = intbv(0)[8:]

@always_comb
def comb():
   temp[:] = something + whatever

I almost never use variables :slight_smile: