Multiple @always_comb needed - why?

Let’s go ahead! Nothing much we do wrong, is there?
I think it is OK that the repo keeps a close eye on GHDL :slight_smile:

Nope, if the plane is crashing, jump out, at least we’ve solved the problem of being in a plane crash!

It is an incredibly soft landing :slight_smile:
We can start merging.
Is there a way to kick GitHub Actions into action without an additional PR-push?

1 Like

The only extra thing I would add to all that is a weekly scheduled run. Github run them for about 3 months after your last check in. This is again to help track changes against iverilog, ghdl and python into the future.

Certainly a good option.

OK let me do that last thing, and then I think that portion of work is done!

I don’t know, maybe in the future situations, but you need to have that yml file in your flow, and not one PR has it, because as of now it is the newest piece of code in the repo. I think once it is in you might have to merge that branch into all the existing PRs, it is a pain.

Great!
I’ll buy you a beer next time in Dublin!

I guess, when we have merged this one we push an extra to the PRs of interest.
And hopefully you PR’s will run without my approval.

In cases of branches you have access to you can just merge in from my branch, or create a branch local to the myhdl repo with that change only. Other peoples PRs might be fiddly, it only hurts the first time!

I have pushed through that last change

The PR has been merged. We’re back in business.
Big thanks to you and Douglas.

Sorry, they are both me, there was a time long ago, I couldn’t open source under my own name, so that is the handle I’ve everywhere, I am trying to retire it, but I keep forgetting all the places I have had it set.

I wondered …
You will get two beers then :slight_smile:

1 Like

Hi Steve,

As you may have followed Dave Keeshan nicely set up Continuous Integration for the MyhDL repo.
I was able to commit the changes.

Regards,
Josy

Thanks to all - so I just do a new pip install myhdl to update?

Or the more specific pip install -e 'git+https://github.com/myhdl/myhdl#egg=myhdl

Steve…

I have never tried this but it seems the way to go.
Another route is to fork the MyHDL repo: GitHub - myhdl/myhdl: The MyHDL development repository. And keep it up to date by Pull